首页 > 中学考试杂题 > 题目详情
求一个八位七段共阳极数码管的显示程序.下面的程序能显示76543210,但是9,8这两个数不能显示出来,library
题目内容:
求一个八位七段共阳极数码管的显示程序.
下面的程序能显示76543210,但是9,8这两个数不能显示出来,
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity dynamic_segled is
port
\x09(
\x09\x09clk :in std_logic;\x09
\x09\x09data:out std_logic_vector(7 downto 0);
\x09\x09sel:out std_logic_vector(7 downto 0)
\x09);
end ;
architecture fun of dynamic_segled is
signal cnt:integer range 0 to 62449;
signal flip_led:integer range 0 to 7;
begin
\x09
process(clk)
begin
\x09if clk'event and clk='1' then ----- 动态扫描
\x09\x09 if cnt=62499 then
\x09\x09 \x09cnt
求一个八位七段共阳极数码管的显示程序.
下面的程序能显示76543210,但是9,8这两个数不能显示出来,
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity dynamic_segled is
port
\x09(
\x09\x09clk :in std_logic;\x09
\x09\x09data:out std_logic_vector(7 downto 0);
\x09\x09sel:out std_logic_vector(7 downto 0)
\x09);
end ;
architecture fun of dynamic_segled is
signal cnt:integer range 0 to 62449;
signal flip_led:integer range 0 to 7;
begin
\x09
process(clk)
begin
\x09if clk'event and clk='1' then ----- 动态扫描
\x09\x09 if cnt=62499 then
\x09\x09 \x09cnt
下面的程序能显示76543210,但是9,8这两个数不能显示出来,
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity dynamic_segled is
port
\x09(
\x09\x09clk :in std_logic;\x09
\x09\x09data:out std_logic_vector(7 downto 0);
\x09\x09sel:out std_logic_vector(7 downto 0)
\x09);
end ;
architecture fun of dynamic_segled is
signal cnt:integer range 0 to 62449;
signal flip_led:integer range 0 to 7;
begin
\x09
process(clk)
begin
\x09if clk'event and clk='1' then ----- 动态扫描
\x09\x09 if cnt=62499 then
\x09\x09 \x09cnt
本题链接: